Programm läuft, aber keine Effekte auf Variablen

Gegge

Level-1
Beiträge
6
Reaktionspunkte
0
Zuviel Werbung?
-> Hier kostenlos registrieren
Hallo erstmal vorweg :),

Wir haben ein Problem und haben absolut keine Erklärung.

Unser Programm in Codesys 2.3 geschrieben bringt beim Übersetzen keinerlei Fehler, auch das Einloggen in die Simulation funktioniert, dann noch "Start" und nun gehts los.

Wir setzen z.B. die Eingangsvariable eines FBs auf TRUE. Jetzt sollte ja theoretisch auch im inneren des FBs die entsprechende input-Variable auf TRUE gesetzt sein, aber nichts ist. Es tut sich gar nix. Das Gleiche kann man bei Timern beobachten. IN ist TRUE, aber die Zeit läuft nicht los. UND-Gatter schalten ebenfalls nicht.

Wir schreiben die Werte auch, bevor jemand das schreibt ;)
Andere Programme laufen ganz normal, also kanns auch nicht am Codesys an sich liegen.

Vielleicht kann ja jemand helfen, der eventuell bereits ein ähnliches Problem hatte oder jemand hat irgendeine Idee.


Danke schonmal :)
 
Hallo Gegge,

um zu kontrollieren ob der Programmteil abgearbeitet wird kannst du (wenn du online auf der Steuerung bist) unter dem Menüpunkt Online --> Ablaufkontrolle diese aktivieren und dann werden die Zeilen, die abgearbeitet werden grün markiert.

Grüße
 
beschreibe den Fehler doch mal genauer !!!

werden die einzelnen Bausteine überhaupt aufgerufen?? -> Online->Ablaufkontrolle
 
taskplaner? isetzt oder interrupt gesetzt oder aber bedingter programmaufruf, heißt der hauptprozess PLC_PRG? wenn nein kann nichts geschehen.
Wenn ja bitte den Taskplaner prüfen ob PLC_PRG als Programm läuft und ob evtl. ne bedingung parametriert ist (die sollte dann wohl raus)
 
Zuviel Werbung?
-> Hier kostenlos registrieren
Danke...nein ist es nicht!

Eventuell klappt was mit dem Speicher nicht so richtig.

Controller wäre Wago 750-843.


Zitat:
Zitat von Rupp
taskplaner? isetzt oder interrupt gesetzt oder aber bedingter programmaufruf, heißt der hauptprozess PLC_PRG? wenn nein kann nichts geschehen.
Wenn ja bitte den Taskplaner prüfen ob PLC_PRG als Programm läuft und ob evtl. ne bedingung parametriert ist (die sollte dann wohl raus)



Also es ist so, dass PLC_PRG freilaufend mit Priorität 3 läuft und eine Messung zyklisch (1ms) mit Priorität 1.

Wenn ich die Priorität des PLC_PRG höher setze, als die der Messung läuft das Programm ab, aber die Priorität der Messung muss höher sein.

Könnten eventuell die Zeiten eine Rolle spielen?
 
Zuletzt bearbeitet:
Auch wenn der Fehler Dich bis zur Peinlichkeit rührt, sind wir doch interessiert
was der Fehler war.Somit haben andere bei gleichem Fehler auch eine Hilfestellung. ;)

Fehler gehören dazu...
Man lernt draus...
 
Zuviel Werbung?
-> Hier kostenlos registrieren
Auch wenn der Fehler Dich bis zur Peinlichkeit rührt, sind wir doch interessiert
was der Fehler war.Somit haben andere bei gleichem Fehler auch eine Hilfestellung. ;)

Fehler gehören dazu...
Man lernt draus...


Gelöscht werden soll ja nicht der ganze Thread, sondern nur der Beitrag. Wenn der Fehler oder das Problem behoben sind, dann werd ichs euch wissen lassen ;)
Es scheint auf jeden Fall mit der Taskkonfiguration zusammenzuhängen. Wie und warum, das ist die Frage.
 
Zurück
Oben