Unterschied SCL und ST

N

nobug

Guest
Zuviel Werbung?
-> Hier kostenlos registrieren
Hallo zusammen!

Mich würden die Unterschiede zwischen SCL und ST interessieren.

ST entspricht ja der Norm IEC 1131-3. SCL ist eine an diese Norm angelehnte Sprache (mit Unterschieden). Ist das soweit richtig?

Kann ich ohne größeren Aufwand von SCL nach ST konvertieren?


Vielen Dank für Eure Antworten!
 
Hallo
sollte funktionieren, sofern keine systemspezifischen Sachen verwendet werden. In welches System soll denn von SCL in ST konvertiert werden (Codesys)?

Gruß
Rayk
 
Um mal die wachsweiche Forumulierung von meinem Vorredner zu konkretisieren ;-)

Ganz allgemein, nein. ST ist nicht identisch mit S7-SCL.
Es hängt vom Einzelfall ab und wird in aller Regel mit Arbeit verbunden sein.
Erste Hinweise hierzu, erhälst du, wenn du deinen Quelltext einfach mal versuchst zu übersetzen.
 
Wenn ich das bisher so richtig erfasst habe kann in ST FlipFliops direkt beschrieben werden in SCL geht das nur durch die Ifanweisungen. mehr unterschiede konnte ich noch nicht feststellen. Möglicherweise irre ich mich auch, ich bin noch anfänger.
 
Zurück
Oben