Step 7 Merkerschrittkette mit Quereinstieg

Zuviel Werbung?
-> Hier kostenlos registrieren
Also kann man zB: Nach dem START bedingt Schritt 12 direktanspringen und dann falls Zusatzbedingung ein Sprüng zu Schrit 23 sonst liniar weiter und ab Schritt 23... 4 Simultanschritte davon einen mit Zusatzabbruchbedingung ...das ganze in einem SPL ?

:confused:

Noch was ....Werden in einem SPL IMMER alle Schritte gerechnet obwohl laut Prozessbedingungen niemals alle gleichzeitig am technologisch am laufen sind ?:confused:
 
Zuletzt bearbeitet:
Also kann man zB: Nach dem START bedingt Schritt 12 direktanspringen und dann falls Zusatzbedingung ein Sprüng zu Schrit 23 sonst liniar weiter und ab Schritt 23... 4 Simultanschritte davon einen mit Zusatzabbruchbedingung ...das ganze in einem SPL ?

:confused:

Erklär mir mal, wie du deiner Kette 4 Simultanschritte mit nur einer Schrittvariable realisierst ...
 
Zuviel Werbung?
-> Hier kostenlos registrieren
Habe das nicht gesagt (habe sogar geschrieben in dem geposteten Programmchen dass jeder Zweigkette einen eigenen Schrittzähler hat )... aber mit nur einem SPL geht/würde gehen ... ?

:confused:
 
Zuletzt bearbeitet:
Habe das nicht gesagt (habe sogar geschrieben in dem geposteten Programmchen dass jeder Zweigkette einen eigenen Schrittzähler hat )... aber mit nur einem SPL geht/würde gehen ... ?

:confused:

Das selbe gilt für SPL. Simultanzeige erfordern auch einen eigenen SPL.
Wie gesagt: Eine SPL-Kette funktioniert fast gleich. Nur die ganzen Vergleicher am Anfang deines Bausteins werden durch einen SPL ersetzt.

Dieter
 
Das selbe gilt für SPL. Simultanzeige erfordern auch einen eigenen SPL.
Wie gesagt: Eine SPL-Kette funktioniert fast gleich. Nur die ganzen Vergleicher am Anfang deines Bausteins werden durch einen SPL ersetzt.

Dieter

Vielen Dank !

Eine Frage noch :

Werden in einem SPL immer alle Schritte von der Steuerung gelesen/gerechnet obwohl laut Prozessbedingungen(= Schrittkette) niemals alle gleichzeitig technologisch am laufen sind ? Das wäre dann ein Unterschied zu "meiner" Art ... sonst wäre SPL eleganter .


Falls alle Schritten in einem Zyklus rechnerich durchlaufen werden dann ist nicht ....IMMER .... optimale Resourcenausnutzung !
Man kann mit den neueren Steuerunge sehr viel machen ....Sachen für die man früher Industriecomputer benutzen müsste man kann sich erlauben nicht-optimal zu sein (aber mit den alten Steuerungen ist was anderes , manche kann man gar nicht vernetzen also ...etc !
 
Zuletzt bearbeitet:
Zuviel Werbung?
-> Hier kostenlos registrieren
Habe mich erkundigt ...bei SPL werden immer alle Schritte gerechnet ob sie technologisch gerade gebraucht werden oder nicht ... also SPL Kette benötigen (viel?) mehr Rechnezeit und dadurch erlauben weniger gleichzeitige (unabhängige) Schritketten oder Überwachungsfunktionen auf eine SPS-Steuerung ....

LEIDER !!!

:(
 
Habe mich erkundigt ...bei SPL werden immer alle Schritte gerechnet ob sie technologisch gerade gebraucht werden oder nicht ... also SPL Kette benötigen (viel?) mehr Rechnezeit und dadurch erlauben weniger gleichzeitige (unabhängige) Schritketten oder Überwachungsfunktionen auf eine SPS-Steuerung ....
Da hättest Du besser mal jemand gefragt, der Ahnung hat, oder Du solltest es mit Dieter Nuhr halten:
"Wenn man keine Ahnung hat, ..."

Gruß
Erich

p.s. Vielleicht einfach mal in die Online-Hilfe mit Beipiel zum Befehl SPL schauen?
 
Zuletzt bearbeitet:
Habe mich erkundigt ...bei SPL werden immer alle Schritte gerechnet ob sie technologisch gerade gebraucht werden oder nicht ... also SPL Kette benötigen (viel?) mehr Rechnezeit und dadurch erlauben weniger gleichzeitige (unabhängige) Schritketten oder Überwachungsfunktionen auf eine SPS-Steuerung ....
:(

Wo hast du dich denn erkundigt? - in der nächsten Eckkneipe gestern Abend? :ROFLMAO:

Eine SPL-Konstrukt muß einfach ordentlich programmiert sein!
Am Ende eines aktiven Schrittes muss immer zum Ende der SPL gesprungen werden.
Ich halte es für unsauber am Ende eines Schrittes kein -SPA Kettenende- zu setzen.

http://www.sps-forum.de/simatic/10821-sprungleiste.html

Also, wenn SPL sauber programmiert ist wird nur der SPL-Kopfteil, der aktive Schritt und das Kettenende durchlaufen.


Grüße
 
Zuviel Werbung?
-> Hier kostenlos registrieren
Da hättest Du besser mal jemand gefragt, der Ahnung hat, oder Du solltest es mit Dieter Nuhr halten:
"Wenn man keine Ahnung hat, ..."

Gruß
Erich

p.s. Vielleicht einfach mal in die Online-Hilfe mit Beipiel zum Befehl SPL schauen?

Wie meinst du dass ?
...dort steht :
Die Zielsprungleiste muß aus Operationen SPA bestehen, die sich vor der Sprungmarke befinden, die vom Operand der Anweisung SPL angegeben wird. Andere Operationen innerhalb der Sprungleiste sind unzulässig.
... also dass ist nicht immer gut .... ich meine "meine" Methode hat diese BEGRENZUNG nicht !

Mann hat mir ausdrücklich gesagt dass nur bei S7 GRAPH die Schritten "sparsam" gerechnet werden ...aber S7 GRAPH ist selbst zu gross und hat viele interne (nicht immer benötigte) Funkionen die zusätzlich Zeit fressen ...

Hab mal ein kurzes Programm "so" geschrieben und in S7 GRAPH .... also ein Unteschied gibts .

Es gibt ei TRICK die "kurzen" Zeitunterschiede zu messen ... man wiederholt die kleine Routinen durch Sprünge im OB1 ...etc.

... ich rede nicht vom hören sondern habe es geprüft !
Man kann es TESTEN .
:)
 
Zuletzt bearbeitet:
Die ZIELSPRUNGLEISTE!!!!!!!! darf nur aus lückenlos aneinanderfolgenden SPA bestehen. Doch nicht der folgende Code!

Und was ist die ZIELSPRUNGLEISTE?

Das hier:

Code:
      L     "Schrittmerker"
      SPL   LIST
      SPA   Z00
      SPA   Z01
      SPA   Z02
      SPA   Z03
      SPA   Z04
      SPA   Z05
      SPA   Z06
      SPA   Z07
      SPA   Z08
      SPA   Z09
LIST: BEA

"Angesprungener Code"

Code:
// Steuerspannung aus
// ---------------------------------
Z00:  SET   

..
..
..

// Steuerspannung ein, Schutztüren zu, Warten auf GSTF
// ---------------------------------
Z01:  SET
..
..
..

usw.

Für die ".." kannst schreiben was du willst!
 
Code:
// Steuerspannung aus
// ---------------------------------
Z00:  SET   

..
..
..
[COLOR="#FF0000"]BE[/COLOR]
// Steuerspannung ein, Schutztüren zu, Warten auf GSTF
// ---------------------------------
Z01:  SET
..
..
..
[COLOR="#FF0000"]BE[/COLOR]
usw.

Wird dieser Teil in einem OB1 Durchlauf IMMER komplett gelesen?
Oder wirds so gemacht?
 
Zuletzt bearbeitet:
Zuviel Werbung?
-> Hier kostenlos registrieren
Habe mich erkundigt ...bei SPL werden immer alle Schritte gerechnet ob sie technologisch gerade gebraucht werden oder nicht ... also SPL Kette benötigen (viel?) mehr Rechnezeit
Eine SPL kann nur 1 Ziel anspringen.
Wenn nach der Zielmarke alle Kettenschritte durchlaufen werden weil am Schrittende keine Sprünge zum Kettenende oder Bausteinende drin stehen, dann kann die SPL nichts dafür, sondern der Programmierer, der die Schritte ausprogrammiert hat.

(In Hochsprachen wie C muß man am Ende eines CASE-Zweiges auch selber ein BREAK programmieren, wenn das Hineinlaufen in den nächsten CASE-Zweig nicht erwünscht ist.)

Eine SPL ist die schnellste Variante von CASE-Verzweigungen, weil sie ohne Vergleiche auskommt indem die Sprungzieladresse ganz einfach berechnet wird. SPL in AWL-Sicht macht 2 Sprünge: zuerst zu der Adresse in der Sprungtabelle, die aus dem Wert im Akku berechnet wird und dort steht dann der Sprung zur vom Programmierer gewünschten Adresse. (im compilierten MC7 wird möglicherweise tatsächlich nur 1 Sprung ausgeführt zu der Adresse, welche aus der berechneten Tabellenposition gelesen wird)

Harald
 
...Vergleiche gibts schon ! ...aber intern/maskiert !
Ohne Vergleiche kommt keine SPL Kette aus ! ... sind nicht so leicht zu sehen aber intern die Vergleiche machen die Sprünge ....

:ROFLMAO:

Wie erfolgt die Transition zwischen der Schritte ? ... spielt die Reihenfolge der AKTORIK-Schritte eine Rolle oder nur die Nummerierung der Schritte ?

Wie "merkt" die SPL das ein Schritt fertig ist um die Transition zu starten ?
 
Zuletzt bearbeitet:
Mann hat mir ausdrücklich gesagt dass nur bei S7 GRAPH die Schritten "sparsam" gerechnet werden ...aber S7 GRAPH ist selbst zu gross und hat viele interne (nicht immer benötigte) Funkionen die zusätzlich Zeit fressen ...

Für S7Graph gibt es verschiedene Ablauf-FCs und zig verschiedene Compiler-Optionen.
Eine auf Geschwindigkeit opimierte Graph-Kette steht deinem Ketten-Prinzip kaum nach.

Dieter
 
Zuviel Werbung?
-> Hier kostenlos registrieren
Die SPL merkt sich nichts sondern der "Schrittkettenmerker" vom typ Integer.
Du musst selber dafür sorgen, das du solange in dem Schritt bleibst bis dieser fertig ist.
Wenn fertig muss "Schrittkettenmerker" einfach einen neuen Wert bekommen.
Welche, ist egal solange er immer Nummernband der SPL liegt.

Ich denke du hast noch nie eine ausprogrammierte SPL gesehen, oder? ;-)
 
@00alex
Nachdem Du ja ganz schön suf den Putz haust, was Dein Schrittkettenprogramm betrifft,
habe ich gedacht, ich lade mir das das mal runter und schau mir das mal an.

Ich war erstmal 1 Minute mit offenem Mund da gesessen und habe gestaunt über das mächtige Programm.


Oh Du üppig sprudelnder Quell des Wissens und der Erkenntnis,
Lass uns auch fürderhin teilhaben an Deiner Weisheit

Gar oft schon lag ich des nachts auf meinem Lager in der Herberge
der Verzweifelung nah, ob der Schrittkette, welche nicht tat wie Ihr geheißen
Welch Laabsal, fortan so ein mächtiges Programm mein Eigen zu nennen.

Hab Dank, dass Du das manigfaltige Füllhorn Deiner Programmierkunst
über unsere Holzköpfe ergiesst

 
Zuletzt bearbeitet:
@Paul
Dabei ist nichts neues , nur eine etwas andere SPL Form ... :confused:
Warum so überascht ? ... bist auch so ein Neuling wie ich ?

Habe selbst auch nicht gewusst bis mir die Leuten es eingetrichtet haben .... ( habe auch kein Lob damit verfolgt sondern nur , mich den anderen mitteilen ) ... wer es gut findet könnte es benutzen die andere sollen es als eine Kuriozität betrachten ...usw.
 
Zuletzt bearbeitet:
Zuviel Werbung?
-> Hier kostenlos registrieren
Hallo,

eigentlich wollte ich ja GRAPH schon deinstallieren nachdem das neue System hier angekündigt wurde. Aber zum Glück habe ich nochmal geschaut wie die Schrittkette so funktioniert. Wenn du von 2000 Schritten schreibst... Wenn das alles linear ist dann bearbeitest du schon mal 1999 Vergleicher sinnlos. Was dabei zykluszeitsparender sein soll als SPL erschliesst sich mir nicht.

André
 
Dann schreib eine Schrittkette mit 10 Schritte : Liniar- , Alternativ- und Simultanschritte , wiederhol sie in NUR einem SPS Zyklus 1000 mal , notiere dir die Zykluszeit und dannach das gleiche Pogramm SPL-mässig programmieren (auch 1000 mal widerholen) und VERGLEIECHE die Ausführungzeiten ... (beim so 10000 Schritten merkt man schon Unterschiede ).
Lasse dich überaschen ! Wer weiss was de Compiler intern macht ...?...
Die Teorie soll immer praktisch getestet werden ... schreb bitte dannach die Zeiten hier im Forum (bin nur neugierig ob bei dir die gleiche unerwartete Übersachung raus kommt )

:)

Die SPL Kette vergleicht intern auch um die Sprunge zu entscheiden aber nicht so offensichtlich !

...und glaube ich , du hast es nicht wirklich verstanden... im Durchschnitt werden nur 500 Vergleiche gemacht bei eine 1000 Schrittige Kette :( Die Vergleiche haben ..... Sprünge !
 
Zuletzt bearbeitet:
@Paul
Warum so überascht ? ... bist auch so ein Neuling wie ich ?
Ich bin überascht, wie man um so einen Code-Schnippsel so ein Geschrei machen kann

Dann schreib eine Schrittkette mit 10 Schritte : Liniar- , Alternativ- und Simultanschritte , wiederhol sie in NUR einem SPS Zyklus 1000 mal , notiere dir die Zykluszeit und dannach das gleiche Pogramm SPL-mässig programmieren (auch 1000 mal widerholen) und VERGLEIECHE die Ausführungzeiten ... (beim so 10000 Schritten merkt man schon Unterschiede ).
Lasse dich überaschen ! Wer weiss was de Compiler intern macht ...?...
Die Teorie soll immer praktisch getestet werden ... schreb bitte dannach die Zeiten hier im Forum (bin nur neugierig ob bei dir die gleiche unerwartete Übersachung raus kommt )

:)

Die SPL Kette vergleicht intern auch um die Sprunge zu entscheiden aber nicht so offensichtlich !

...und glaube ich , du hast es nicht wirklich verstanden... im Durchschnitt werden nur 500 Vergleiche gemacht bei eine 1000 Schrittige Kette :( Die Vergleiche haben ..... Sprünge !
Warum zum Teufel sollte ich eine Schrittkette in einem Zyklus 1000 mal aufrufen :confused::confused::confused::confused::confused:

Hast Du überhaupt ANSATZWEISSE eine Ahnung um was es geht?
Bleib bei Deinen 5-Achsen CNC Fräsen
 
Zurück
Oben