Beckhoff Visu zeigt Hex anstatt Dezimal an

Anaconda55

Level-1
Beiträge
301
Reaktionspunkte
6
Zuviel Werbung?
-> Hier kostenlos registrieren
Hallo. Habe folgendes Problem mit der Beckhoff HMI Visu.
In Textfeldern wo eine Variable angezeigt werden soll werden Hexwerte anstatt Dezimal ausgegeben. An was kann das liegen?
 
Frohes neues Jahr!

Lass mich raten Du hast im Textfeld ein %s als Platzhalter stehen.

Um eine Dezimale Ansicht zu erzwingen könntest Du da ein %i oder ein %d reinschreiben.

Code:
d,i   Dezimale Zahl

o     Oktale Zahl ohne Vorzeichen (ohne führende Null)

x     Hexadezimale Zahl ohne Vorzeichen (ohne führendes 0x)

u     Dezimale Zahl ohne Vorzeichen

c     Einzelnes Zeichen

s     Zeichenkette

f     REAL-Werte; Syntax: %|<Ausrichtung><Minimal angezeigte Anzahl von Zeichen>.
      <Genauigkeit>|f
      Ausrichtung wird durch Minuszeichen (linksbündig) bzw.
      Pluszeichen (rechtsbündig, Default) definiert;
      Genauigkeit definiert die Anzahl der Stellen hinter dem Komma
      (Default: 6).

PS: Auf dem Bild im Anhang habe ich mal um kreist wo diese Information mit unter (ohne in der Hilfe suchen zu müssen) zu finden ist.
 

Anhänge

  • visu.GIF
    visu.GIF
    17,9 KB · Aufrufe: 43
Geh mit der SPS online und mache einen Rechtsklick ins Variablen-Deklarationsfenster. Die dortige Auswahlmöglichkeit (dezimal, hex, binär) hat auch Einfluss auf die Visu!
:cool:

Frohes Neues!!!
 
Zurück
Oben