TIA Mathematische Funktion Dividieren

Civonacim

Level-1
Beiträge
4
Reaktionspunkte
0
Zuviel Werbung?
-> Hier kostenlos registrieren
Hallo liebe Forumgemeinde!

Ich stehe vor einem Problem. Ich programmiere in FUP eine S7-1214C DC/DC/Rly mit TIA Portal Version V14 SP1 Update 2.

Ich möchte gerne eine rationale Zahl (Kommazahl) am OUT der DIV-Funktion als Ergebnis angezeigt bekommen.
Ich habe dazu schon eine Variable Typ MD real angelegt, jedoch wird das Ergebnis immer gerundet. :confused:

Da ich mit diesem Wert aber weiter rechnen möchte, wird das Endergebnis verfälscht.


HINTERGRUND:
Eine variable Stückzahl pro Stunde wird manuell eingegeben (z.B. 550, 880, 330...).
Ich möchte nun aus dieser Variablen eine neue Variable TIME "Zeit pro Stück" errechnen lassen.
Diese Variable soll dann als Zeit für einen IEC_TIMER verwendet werden.



Kann mir bitte jemand dabei helfen?
 

Anhänge

  • Screenshot (30).png
    Screenshot (30).png
    100,6 KB · Aufrufe: 88
Du mußt die DIV-Box so einstellen, daß sie in Real rechnet (zur Zeit rechnet sie in Ganzzahl --> "Auto(Int)"). Das tut sich nicht automatisch wenn man beide Eingänge mit Int-Werten beschaltet, sondern man muß noch ein paar Klicks mehr aufwenden: Klicke in die Zeile "Auto(Int)" und stelle auf "Real". An den Eingang IN1 schreibe die Real-Zahl "3600.0" (anstatt der Ganzzahl "3600") und IN2 sollte sich automatisch zu einer impliziten Konvertierung zu Real umgestellt haben (sieht man an dem Kästchen am Eingang). Falls die implizite Konvertierung Int-zu-Real in Deinem TIA noch nicht geht, dann schalte eine CONV-Box (Int-zu-Real) und eine Real-Zwischenergebnisvariable vor den Eingang IN2.

Harald
 
Zurück
Oben